admin管理员组

文章数量:1651581


本文参考ubuntu VCS+verdi安装教程和VCS、Verdi安装记录,感谢两位博主。

1.下载文件

verdi_vL-2016.06-1
vcs-mx_vL-2016.06
scl_v11.9
scl_keygen
SynopsysInstaller.rar

2.安装

2.1 解压synopsys_installer.rar

解压到当前目录就可以,解压完后,执行./SynopsysInstaller_v3.3.run。注意所有安装都在user权限下,如果有执行权限自己解决。

./SynopsysInstaller_v3.3.run
Please specify installation directory [.]:

执行后会提示选择安装目录,这个路径无所谓,当前路径直接回车就可以。回车后会生成如下文件。

batch_installer
checksum_info.txt
install_bin installer
installer_INSTALL_README.txt
install.now
setup.sh
SynopsysInstaller_v3.3.run

2.2 创建安装目录

在准备安装VCS软件的路径下创建文件夹。

mkdir -p /opt/Synopsys
cd /opt/Synopsys
mkdir vcs_2016.06
mkdir scl_11.9
mkdir verdi_2016.06-1

2.3 安装软件

回到synopsys_installer的路径下,执行./setup.sh。大概率会遇到/bin/csh:解释器错误。安装c-shell就可以。

./setup.sh
sudo apt-get install csh

一路next到选择source安装界面,选择路径到安装包的路径,点done,再next,就会显示要安装的软件,软件前面的红色菱形表示选中,Next…,注意选择linux64,最后选择install路径,就是/opt/Synopsys/vcs_2016.06,要反复安装三次。VCS,Verdi,Scl。

3.window上获得license

打开scl_keygen.exe

1.修改HOST ID Daemon和HOST ID Feature。用ifconfig获取网卡物理地址。
2.修改EXPIRE时间12-dec-2030。说不定2050也可以。
3.修改HOST Name。用hostname命令获取。
4.将文件夹中Synopsys.src中的12-dec-2020全部替换为12-dec-2030
5.点击Generate。在scl_keygen.exe同目录下就有
6.Synopsys.dat, 修改第二行,DAEMON snpslmd /opt/Synopsys/scl_11.9/amd64/bin/snpslmd
7.拷贝到vcs和verdi的安装目录下,没有license目录就自己创建一个。
/opt/Synopsys/vcs_2016.06/license
/opt/Synopsys/verdi_2016.06-1/license

4.添加环境变量

export PATH=$PATH:/opt/Synopsys/vcs_2016.06/gui/dve/bin
export PATH=$PATH:/opt/Synopsys/vcs_2016.06/bin
export PATH=$PATH:/opt/Synopsys/verdi_2016.06-1/bin
export VCS_HOME=/opt/Synopsys/vcs_2016.06
export VERDI_HOME=/opt/Synopsys/verdi_2016.06-1
export NOVAS_HOME=/opt/Synopsys/verdi_2016.06-1
export LM_LICENSE_FILE=27000@weiyi-desktop(weiyi-desktop修改成自己的hostname)
alias lmg_vcs=‘lmgrd -c /opt/Synopsys/vcs_2016.06/license/Synopsys.dat’
export PATH=$PATH:/opt/Synopsys/scl_11.9/amd64/bin/
export VCS_ARCH_OVERRIDE=linux
alias vcs=‘vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,-no-as-needed’

修改完后source ~/.bashrc生效,但之前添加的PATH不会丢失,新的PATH会追加。如果出现路径填错了,最好是重新开一个终端。

5.激活

我是按第一个教程激活的,我猜测可以不用开永久端口,重启后使用lmg_vcs激活即可。

sudo apt-get install lsb-core
lmg_vcs
最后出现以下打印就说明启动OK。license就是在自己服务器上用lmgrd和license启动一个服务,端口是27000,LM_LICENSE_FILE=27000@weiyi-desktop就是指向自己
=== Top 10 Peak In-house Operations time (in ms) ===
Peak times greater than 4 seconds get recorded.
Time: Sun Aug 07 2022 06:01:39 CST
In-house operation time, when, #concurrent clients
=== Active Connections Info ===
Peak active connections #4 attempted at Sat Aug 06 2022 18:01:42 CST
===============================================

6. 安装gcc-4.8和gcc++4.8

安装这两个是因为VCS编译成simv的时候,这个版本才OK。如果不是gcc-4.8就会提示:

make: gcc-4.8: Command not found
make: *** [filelist.hsopt:5: rmapats.o] Error 127
Error: Failed to make rmapats.so @ simv.daidir/rmapats.so

sudo apt-get install gcc-4.8
ls /usr/bin/gcc*
sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 100
sudo update-alternatives --config gcc
sudo apt-get install g+±4.8
ls /usr/bin/g++*
sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/g+±4.8 100
sudo update-alternatives --config g++

如果是更高版本的ubuntu,比如20.04默认带的是gcc9,直接安装gcc4.8是不可以的。

先增加源
deb http://dk.archive.ubuntu/ubuntu/ xenial main
deb http://dk.archive.ubuntu/ubuntu/ xenial universe
sudo apt update
apt-cache policy gcc-4.8 可以查看到已经有安装包了。

gcc-4.8:
 Installed: (none)
 Candidate: 4.8.5-4ubuntu2
 Version table:
    4.8.5-4ubuntu2 500
       500 http://dk.archive.ubuntu/ubuntu xenial/universe amd64 Packages

再安装就可以了。

7.问题和注意事项

1.vcs和dev在linux64下都要用-full64参数才能启动。
2.collect2: error: ld returned 1 exit status Makefile err,要加gcc参数,就是bashrc写的alias
vcs -full64 -cpp g+±4.8 -cc gcc-4.8 -LDFLAGS -Wl,-no-as-needed
3.lmg_vcs启动license失败,如果是提示check your license,那多半是license生成的不对。可以参考前面提到的2个教程看看哪个步骤不对。
4.如果lmg_vcs启动失败,用ps -aux |grep lmgrd,找到进程用kill pid号杀掉进程重新用lmg_vcs启动。重新执行 lmg_vcs提示(lmgrd) Failed to open the TCP port number in the license,多等一会儿就好了。也可以用killall lmgrd杀死所有lmgrd进程。
5.lmgrd一直提示找不到,用which lmgrd看看是不是amd64/bin目录下的。如果不是就检查一下bashrc,再重新开个端口。需要安装sudo apt-get install lsb-core。
6.启动verdi时提示:libjpeg.so.62: cannot open shared object file: No such file or directory和 libpng12.so.0: cannot open shared object file: No such file or directory,安装库 libjpeg62。
sudo apt-get install libjpeg62
解决libpng12.so稍微麻烦点,https://blog.csdn/qq_44447544/article/details/122543018
sudo add-apt-repository ppa:linuxuprising/libpng12
sudo apt update
sudo apt install libpng12-0

7.提示lmgrd can’t make directory /usr/tmp/.flexlm when running server on Ubuntu。创建一个tmp就可以了。
sudo mkdir /usr/tmp
chmod 777 /usr/tmp
8.vcs仿真时提示/disk/Synopsys/vcs_2016.06/bin/vcs: line 2402: dc: command not found,安装dc
sudo apt-get install dc
9.vcs启动时报/bin/sh: 0: Illegal option -h ,sudo dpkg-reconfigure dash选no,取消dash。

8.nLint安装

尽管vc spyglass和spyglass是更新的lint工具,但在语法检查上我更喜欢用nLint。这里简单描述nLint的安装和破解过程。
1.下载nLint-201509SP1或者2013.09都可以。压缩包里会有4个文件

checksum_info.txt
nLint-201509SP1-basic.tar.gz
nLint-201509SP1-LINUX64.tar.gz
nLint-201509SP1-symlib.tar.gz

nLint不需要installer来安装,直接用tar -xzvf 解压就可以了。解压后会出现bin,demo,share等文件夹。
2.下载verdi201307_nlint201110.licgen.rar破解文件。按照里面readme.txt描述的操作。修改一个features.lst,把第一行改成你的网卡物理地址,版本修改为2015.09,过期时间比当前长即可。用python运行licgen.py生成license.dat文件。直接把license.dat里面的内容拷贝到破解verdi的license.dat里。都不用重启lmgrd,nLint直接就可以用了。

nLinit -gui -verilog -2001 -sv -beauty -detail -top test_top -f   filelist.f  -out    lint.log

如果提示缺少 libXm.so.4,安装sudo apt install libxm4。

9.其他问题

  • 如果你安装了多个vcs版本,并调用vcs去编译vivado的库失败了,可以检查一下编译版本的vivado窗口的路径下的隐藏文件.cxl.vcs_mx.version,里面会提到错误原因,比如VCS_HOME变量设置为了其他的版本。

10.others

tct_chessui
tct_mpui
tct_fe
tct_darts
tct_chess
tct_checkers
tct_go_verilog
tct_ocd

sudo apt-get install libc6-dev-i386
sudo apt-get install gcc-multilib g+±multilib

缺libpng15.so.1

wget https://sourceforge/projects/libpng/files/libpng15/older-releases/1.5.15/libpng-1.5.15.tar.gz
tar -zxvf ./libpng-1.5.15.tar.gz
cd libpng-1.5.15
./configure
sudo make && make install
cp /usr/local/lib/libpng15.so.15 /usr/lib/libpng15.so.15

缺libjbig.so.2.0

sudo cp /usr/lib/x86_64-linux-gnu/libjbig.so /usr/lib/libjbig.so.2.0 

Fatal: This application failed to start because no Qt platform plugin could be initialized. Reinstalling the application may fix this problem.
sudo apt install qt5-default
libfontconfig.so.1: undefined symbol: FT_Done_MM_Var
用系统的libfreetype.so.6替换掉coretools安装目录的该文件即可。
sudo cp /usr/lib/x86_64-linux-gnu/libfreetype.so.6 /opt/synopsys/coreTools/coretools/T-2022.06/linux64/dware/shlib/libfreetype.so.6

本文标签: 教程verdiVCS