admin管理员组

文章数量:1624784

** Error: ./pcie_cpl_pkg.sv(5): (vlog-13006) Could not find the package (reg_pkg_uvm). Design read will continue, but expect a cascade of errors after this failure. Furthermore if you experience a vopt-7 error immediately before this error then please check the package names or the library search paths on the command line.

需要在filelist中添加package文件;

+incdir+./
./glb_var_pkg.sv
./reg_pkg_uvm.sv
./pcie_pkg.sv
./top.sv

本文标签: 报错uvm