Quartus Error (10170): Verilog HDL syntax error XX near text “always“; expecting “end“

编程知识 更新时间:2023-05-02 19:06:43

问题描述:

在进行Verilog编程的时候出现了这个错误

原因分析:

1.没有正确配对always 和 end
2.一般回来搜索这个问题的都应该不是出现配对问题,应该是在if else语句里嵌套了always导致了这个错误。


解决方案:

1.如果是没有配对,那么就配对好always和end
2.如果是ifelse嵌套了always,那么就只能改掉这种写法。

更多推荐

Quartus Error (10170): Verilog HDL syntax error XX near text “always“; expectin

本文发布于:2023-04-28 04:41:00,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/6830e6c2d65cc3feb31e4659675ba929.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:Verilog   HDL   Quartus   Error   text

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!

  • 107689文章数
  • 27235阅读数
  • 0评论数