[DRC CFGBVS

编程入门 行业动态 更新时间:2024-10-09 18:19:37

[<a href=https://www.elefans.com/category/jswz/34/1672034.html style=DRC CFGBVS"/>

[DRC CFGBVS

  [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties:

解决方案: 参照Xilinx  UG899,Page25 ; UG470,Page33       

        Vivado DRC 工具可以根据 Configuration Bank Voltage Select (CFGBVS)、CONFIG_VOLTAGE 和 CONFIG_MODE 属性设置检查器件的配置接口是否具有正确的电压支持。

        还需要这些属性来支持 I/O 规划中的一些其他功能,例如生成 IBIS 模型或 CSV 文件。 这些属性告诉 Vivado 如何在板上使用和连接设备配置接口。

        例如,CFGBVS 属性反映了 CFGBVS 引脚的连接方式。

        如果没有为设计设置这些属性,Vivado 将不会执行相关的 DRC 检查。然而设计人员必须确保设备对板上的配置接口具有正确的电压支持。

        正如 DRC 消息中所建议的,CFGBVS 和 CONFIG_VOLTAGE 属性可以通过以下两种方式之一进行设置。

        当导出为 CSV 文件时,Vivado 工具会根据设置提供相关 bank 的 VCCO 绑定信息(对于 7 系列器件:bank 0、14 和 15;对于基于 UltraScale 架构的器件:bank 0 和 65) 对于 CONFIG_MODE 属性。

        例如,如果使用 JTAG/边界扫描,CFGBVS 为 GND,ONFIG_VOLTAGE 为 3.3,则工具会发出严重警告:DRC CFGBVS-4。 这表明 CONFIG_VOLTAGE 设置为 3.3,必须改为设置为 VCCO。

        对于 UltraScale+ 设备,您无法手动设置 CFGBVS 或 CONFIG_VOLTAGE。 默认情况下,CFGBVS 设置为 GND,CONFIG_VOLTAGE 设置为 1.8V。

        根据组电压要求连接 CFGBVS 高或低。如果 Bank 0 的 VCCO_0 电源为 2.5V 或 3.3V,则 CFGBVS 引脚必须连接为高电平(即连接到 VCCO_0)。当 Bank 0 的 VCCO_0 小于或等于 1.8V 时,将 CFGBVS 连接到低电平(即连接到 GND)。如果在配置期间使用,bank 14 和 15 应该匹配应用于 bank 0 的 VCCO 电平。

        为避免器件损坏,CFGBVS 必须正确连接到 VCCO_0 或 GND。

        注:CFGBVS 引脚在 Virtex-7 HT 器件上不可用。 Virtex-7 HT 器件仅支持 bank 0 的 1.8V/1.5V 操作。

第二种方法是直接在XDC文件中加入:

        set_property CFGBVS value1 [current_design]

#where value1 is either VCCO or GND

        set_property CONFIG_VOLTAGE value2 [current_design]

#where value2 is the voltage provided to configuration bank 0

更多推荐

[DRC CFGBVS

本文发布于:2024-02-14 13:32:37,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1763658.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:DRC   CFGBVS

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!