14.Quartus II 13.1建立一个四选一多路选择器及其功能仿真

编程入门 行业动态 更新时间:2024-10-07 02:23:08

14.Quartus II 13.1<a href=https://www.elefans.com/category/jswz/34/1750730.html style=建立一个四选一多路选择器及其功能仿真"/>

14.Quartus II 13.1建立一个四选一多路选择器及其功能仿真

参考的B站教程
私以为学习开发环境最快的方法是看网课。
1.新建项目:
file→new→Verilog HDL File→确定

2.写完代码,ctr+s保存,文件名要和模块名一样,否则编译会报错。文件路径不能有英文。

module MUX41a(a,b,c,d,s1,s0,y);input a,b,c,d;input s1,s0;output y;reg y;always @(a or b or c or d or s1 or s0)begin : MUX41case({s1,s0})2'b00:y<=a;2'b01:y<=b;2'b10:y<=c;2'b11:y<=d;default:y<=a;endcaseend
endmodule


3.点next

4.点next

5.多文件就自行在红色箭头处添加。因为这个程序只有一个模块,所以就不需要再添加新的文件了。直接点next就好。

6.勾选所使用的芯片。我用的是DE1 SOC的平台,所以选的是这个。点next

7.直接next

8.点finish

9.点紫色箭头,开始编译

10.编译花了1分6秒,有警告但是没报错

11.接下来时序仿真。file→new→University Program VFW

12.插入端口

13.点Node folder→List→点>>(全部移入)→ok

14.设置一下终止时间,例如30us

15.设置每个端口的波形,这里选的是时钟信号,频率随便设的,a,b,c,d,s1,s0。按ctrl+滚轮可以调整时间轴缩放。

16.点编译→yes→保存文件

17.编译中

18.编译完成

更多推荐

14.Quartus II 13.1建立一个四选一多路选择器及其功能仿真

本文发布于:2024-02-14 05:19:20,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1762490.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:建立一个   多路   功能   选择器   Quartus

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!