FPGA自学:计数器

编程入门 行业动态 更新时间:2024-10-09 11:24:14

FPGA自学:<a href=https://www.elefans.com/category/jswz/34/1766721.html style=计数器"/>

FPGA自学:计数器

 Verilog实现代码:

module Counter(clk,en,rst,cnt_value);input clk;
input rst;
input en;
output [3:0]cnt_value;reg [3:0]cnt;always @(posedge clk or negedge rst)
if(!rst)cnt <= 4'd0;
else if(en)begincnt <= cnt+1'b1;endassign cnt_value = cnt;endmodule

Modelsim仿真代码:

`timescale 1ns/1ns
`define clk_period 10module Counter_tb;//信号激励
reg clk_t;
reg en_t;
reg rst_t;wire[3:0]cnt_value_t;//例化
Counter Counter1(.clk(clk_t),.en(en_t),.rst(rst_t),t_value(cnt_value_t[3:0])); //时钟周期100M:10ns 1个周期 
initial clk_t = 1;
always #(`clk_period/2) clk_t = ~clk_t;initial beginen_t = 0;rst_t = 1;#(`clk_period*20);rst_t = 0;#(`clk_period*20);rst_t = 1;         //rst复位给初始值#(`clk_period*20);en_t = 1;#(`clk_period*200); //en有效一段时间 en_t = 0;#(`clk_period*50);rst_t = 0;

更多推荐

FPGA自学:计数器

本文发布于:2024-02-13 20:13:10,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1760565.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:计数器   FPGA

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!