verilog仿真数据不delay打一拍分析

编程入门 行业动态 更新时间:2024-10-07 22:20:23

verilog仿真数据不delay打<a href=https://www.elefans.com/category/jswz/34/1759373.html style=一拍分析"/>

verilog仿真数据不delay打一拍分析

在IC设计verilog仿真过程中很多人可能会遇到,数据不delay现象。

例如:

出现:

这是由于采样的时钟tx_gen_symbol_clk 和数据信号subframe_start之间存在竞争关系导致的。

我们的设计意图是时钟tx_gen_symbol_clk应该采到subframe_start信号的后沿

有人可能会说在代码中加入延迟#1来解决,实际上不推荐这样做,还会存在两个#1的信号同样还会存在竞争。

要搞清楚产生这种问题的原因首先要了解仿真器对于时序仿真的模型。

第4级的时钟不能正常采到第3级输出的数据,但是第2级的时钟能采到第二级时钟打出来的数据(D3),原因就是,仿真器会在寄存器输出的时候添加一个虚拟的延迟δ,虽然这个虚拟延迟我们是看不到的,在仿真器上都是0,但是对于仿真器工作的时候,到遇到always采样事件时先要判断这个δ延迟,决定触发器的采样输出。(当延迟相等时,clk延迟的优先级会比data延迟的优先级高,即clk1能采到D1的前沿, 也就是我们看到的数据不打拍,这跟我们的预期是不一致的),而clk1能采不到D2的前沿,但能采到D2的后沿,这跟我们的预期一样的。这些讨论仅限于前仿,实际器件上我们必须有时序约束来保证建立保持时间,也不会存在这样的问题。但是硬件设计就是要保证仿真和物理器件一致。因此我们要注意这个现象。

 

结论是:在你的TB里时钟都由一个单独的模块产生送给DUT,不管产生多少个时钟,这些时钟保证都经历了n级分频下来的然后送入DUT,这样数据就不会出现不delay的现象。

 

更多推荐

verilog仿真数据不delay打一拍分析

本文发布于:2024-02-13 15:33:24,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1759286.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:一拍   数据   verilog   delay

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!