nc

编程入门 行业动态 更新时间:2024-09-28 07:25:39

<a href=https://www.elefans.com/category/jswz/34/1770043.html style=nc"/>

nc

 -

irun有意思的地方,其帮助命令

irun -helphelp

 irun -helpall

---------------

yxr:简单点说,就是添加动态库的路径名,LD_LIBRARY_PAH,然后调用时添加

           -loadpli1 debpli:novas_pli_boot  或者  +loadpli1=debpli:novas_pli_boot


原文:;
版权声明:本文为博主原创文章,转载请附上博文链接!

 

VCS无法使用的问题困扰了好久,暂且放下,下面要搞定ncverilog和verdi结合使用dump fsdb的问题。

其实很简单,如果正确安装了Ncverilog和Verdi,只需要两步就可以搞定。

1.设置环境变量,也就是把Verdi的PLI库设置起来:

export LD_LIBRARY_PATH=/usr/cad/verdi-2012.10/share/PLI/IUS/LINUX/boot:$LD_LIBRARY_PATH

2.在Ncverilog的仿真命令里加入command如下:

+ncaccess+rwc +loadpli1=debpli:novas_pli_boot

比如ncverilog test_top.v +ncaccess+rwc +loadpli1=debpli:novas_pli_boot

并且在test_top.v的initial块种加入fsdbdump的命令

initial begin
  $fsdbDumpfile("system.fsdb");
  $fsdbDumpvars(0,test_top);

end
---------------------

 

 

irun就是cadence verilog/vhdl最新的仿真命令。

最老的是ncvlog/ncvhdl、ncelab、ncsim三步式;
ncverilog和irun类似,都可以理解是脚本命令;真实仿真,还是依赖三步式的命令。
虽然原理,依然是三步式;但单命令方式,使用起来更简单。
irun,可以认为就是三步式命令。所以支持的功能feature,都是完全一致的。这一行的话,是我自己理解的。。
ncverilog已经过时,irun是主流。可以查看EDA工具,确认ncverilog就是irun的链接符号。
---------------------

 

.html

【开发环境】 irun(ncverilog)无法dump fsdb波形问题解决方法

 2. IRUN运行时出现如下错误:$fsdbDumpfile和$fsdbDumpvars 函数不能识别;

    Building instance overlay tables: .................... DoneGenerating native compiled code:worklib.HANDSHAKE_TB:v <0x45f2bf0a>streams:   1, words:   554Building instance specific data structures.Loading native compiled code:     .................... DoneDesign hierarchy summary:Instances  UniqueModules:                   2       2Registers:                15      15Scalar wires:              7       -Always blocks:             7 

更多推荐

nc

本文发布于:2024-02-07 10:23:23,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1756015.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:nc

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!