Verilog 电平敏感时序控制

编程入门 行业动态 更新时间:2024-10-09 03:19:08

Verilog <a href=https://www.elefans.com/category/jswz/34/1746980.html style=电平敏感时序控制"/>

Verilog 电平敏感时序控制

以计数器为例

1 always @(电平敏感列表)

这是我们最常用的,也是之前一直在用的。

always @(en, count)beginif (en)#20 count = count + 1;
end

2 always wait

之前没有用过,最近在夏宇闻的书上看到的。

在这种形式中,后面的语句块需要等待某个条件为真才能执行,Verilog语言用关键字wait来表示等待电平敏感的条件为真

alwayswait (en)#20 count = count + 1;

在该例子中,两块代码表达的意思是一样的,只不过采取了不同的形式,可能第一种形式是我们常见的,已经使用过的。他们都表示:

仿真器连续监视en的值,若其值为0,则不执行后面的语句,仿真会停顿下来。若其值为1,则在20个时间单位之后执行这条语句。如果en始终为1,那么count将每过20个时间单位加1。

注意 :上述两段代码都是不可综合的。

更多推荐

Verilog 电平敏感时序控制

本文发布于:2024-02-05 10:46:03,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1745012.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:电平   时序   敏感   Verilog

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!