PT静态时序分析 实践课

编程入门 行业动态 更新时间:2024-10-21 11:54:16

PT静态<a href=https://www.elefans.com/category/jswz/34/1768946.html style=时序分析 实践课"/>

PT静态时序分析 实践课

实践课

用pt_shell打开软件

pt_shell
做STA之前

1.已经有做过STA的文件时

用restore_session可以载入以前的文件:

restore_session share/


载入文件后检查时序:

report_timing


2.对post-layout做STA时:

首先设置PT的环境:

source /eda/synopsys/pt/2012.12/admin/setup/.synopsys_pt.setup



加载相应的文件:

set link_path "*  /home/..."
set search_path "./"
read_verilog /home/.../test.hv
current_design top
link
read_sdc test.sdc

设置变量:


最后可以用update_timing使软件重新载入目前约束所需要的一些文件。

可以使用session来保存到现在为止输入的环境、文件以及命令:

save_session share1/
做STA时

history可以查看过去输入的命令:

history
!25     #惊叹号加上数字n可以重新执行history中的第n条命令

help用于查询命令的具体用法:

help check_ti*
#可以输出符合当前搜索项的所有命令,并附带简单的解说
help check_constraints  
man check_constraints   #比help更加详细  

和报告结果相关的命令:

report_analysis_coverage   #时序分析的总报告
list_libs   #列出当前加载的库
report_lib 库名    #列出库里面用到的cell
report_units
report_clock

report_timing    #不加任何选项会报告setup time时序最差的一条路径
report_timing -group CLK1     #CLK1组中最差的路径
report_timing -group CLK1 -delay_type min    #hold time中最差的路径-short     #表格简略显示-max_paths 数量    #最多显示所写数量条数的路径-slack_lesser_than  数值     #只显示某个数值范围内的路径

更多的选项可以利用help/man或者输入-以后按tab键。

其它的一些命令:

all_inputs
all_registers
size_of_collections [all_registers]
get_ports/get_nets -of_objects [get_cells ...]
get_attributeprint_var
print_message_info

更多推荐

PT静态时序分析 实践课

本文发布于:2024-03-08 23:28:16,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1722837.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:时序   静态   PT

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!