Mealy Fsm

编程入门 行业动态 更新时间:2024-10-26 21:33:21

<a href=https://www.elefans.com/category/jswz/34/1719413.html style=Mealy Fsm"/>

Mealy Fsm

状态转移图:

//米里状态机,输出与输入和当前状态有关
module ex_fsm(input	wire	sclk,input	wire	rst_n,output	reg		k1, output	reg		k2,input	wire	A
);parameter	IDIE	=	4'b0001;//显式的写出状态表达式
parameter	START	=	4'b0010;
parameter	STOP	=	4'b0100;
parameter	CLEAR	=	4'b1000;reg		[3:0]	state;
//用独热编码,独热码用的寄存器数量多,但组合逻辑资源少
//2'b00 2'b01 2'b10 2'b11 二进制编码的寄存器数量少,但是用的组合逻辑资源多//采用两段式
//一段描述状态机
always	@(posedge sclk or negedge rst_n)if(rst_n == 1'b0)state <= IDIE;elsecase(state)IDIE

更多推荐

Mealy Fsm

本文发布于:2024-03-08 00:56:09,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1719414.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:Mealy   Fsm

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!