Vivado [Place 30

编程入门 行业动态 更新时间:2024-10-13 14:24:49

<a href=https://www.elefans.com/category/jswz/34/1769001.html style=Vivado [Place 30"/>

Vivado [Place 30

场景

  1. Vivado版本: 2016.4
  2. FPGA开发板:NEXYS 4 DDR
  3. 所在项目:31条单周期CPU

完整报错信息

[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets reset_IBUF] >reset_IBUF_inst (IBUF.O) is locked to IOB_X0Y82and reset_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y1

解决问题思路

在过去的一次课程作业中实现了分频器,在这个实验当中,没有用到板子上的晶振,也就是E3管脚,但是又有时钟信号,所以需要在XDC文件中添加:

set_property CLOCK_DEDICATED_ROUTE 

更多推荐

Vivado [Place 30

本文发布于:2024-03-05 00:32:19,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1710830.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:Vivado   Place

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!