VIO虚拟输入/输出

编程入门 行业动态 更新时间:2024-10-23 15:28:42

<a href=https://www.elefans.com/category/jswz/34/1707502.html style=VIO虚拟输入/输出"/>

VIO虚拟输入/输出

在ISE工程中,如果需要实时观察FPGA内部信号,需要借助Chipscope。Chipscope是一款在线调试工具,通过JTAG口,在线实时读取FPGA的内部信号。

Chipscope中常用的调试IP有ICON核、ILA核、VIO核。

Chipscope利用ICON核通过FPGA的JTAG端口与内核通信;ILA核可以用来观察FPGA内部信号;VIO核不仅可以观察信号,还可以将外部输入信号传到FPGA中去,这样我们就可以灵活地改变内部信号的值,而不需要重新综合啦~~~

注:ILA可以观察一段时间内信号的波形,而VIO只能看到信号当前时刻的值

在ISE中,如果我们要添加ILA核或者VIO核,除了添加他们本身以外,还必须自己手动添加ICON核;但是在Vivado中就不需要,Vivado会帮我们连好。

VIO即虚拟输入/输出(Virtual Input/Output),可以实时监测和驱动FPGA内部信号。

更多推荐

VIO虚拟输入/输出

本文发布于:2024-02-27 17:12:58,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1707496.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:VIO

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!