Verilog基础:$fopen和$fclose系统函数、任务的使用

编程入门 行业动态 更新时间:2024-10-28 01:26:48

Verilog基础:$fopen和$fclose系统<a href=https://www.elefans.com/category/jswz/34/1771370.html style=函数、任务的使用"/>

Verilog基础:$fopen和$fclose系统函数、任务的使用

相关阅读

Verilog基础.html?spm=1001.2014.3001.5482


        $fopen和$fclose是两个用于打开和关闭文件的系统函数、任务。最初,在Verilog-1995标准中,最多只能同时打开32个文件,其所使用的文件句柄被称为多通道描述符(Multi-Channel Descriptor, MCD),每一个打开的文件用一个32位的整数中的某一位置1来表示,类似于独热码。多个MCD句柄可以位或到一起,结果就可以代表多个打开的文件,用户用一条指令操作这个结果就可以同时操作多个文件。但是对MCD操作的函数功能有限,只支持$fdisplay、$fwrite、$fstrobe和fmoniter函数输出到文件、不能做文件输入操作。

       最初,在Verilog-1995标准中,最多同时打开的32个文件中,包括一个已经提前打开的用于输出的文件STDOUT,工程师在做验证时就很受限制,因为有时候需要同时打开超过31个文件,需要更好的文件I/O功能。而且只能通过$readmemb和$readmemb读取文件数据到存储器数组中。

        现在,在Verilog-2001中,文件I/O功能被增强了,文件句柄被分为两类,一类还是MCD,另一类被称为文件描述符(File Descriptor, FD)。他们通过32位文件句柄的最高位来区分:MCD为0,因此只有低31位可以用来以只写方式打开文件(包括STDOUT)。FD为1,他的低31位用来打开文件,FD不使用独热码,因此它可以打开2的31次方个文件(包括三个预先打开的STDIN、STDOUT和STDERR),但失去了MCD句柄可以相与的优势,所以FD不能同时操作多个文件,不过FD可以决定以读、写、读和写以及追加的形式打开文件。(MCD的STDOUT句柄为32‘h0000_0001,FD的STDIN、STDOUT和STDERR句柄分别为32‘h8000_0000,32‘h8000_0001,32‘h8000_0002)

        上图是$fopen系统函数和$fclose系统任务的BNF范式语法(被称为函数是因为$fopen返回文件句柄,而$fclose不返回值)。其中文件名既可以是一个字符串常量也可以是一个字符串变量。而$fopen系统函数根据是否指定了type来决定返回MCD还是FD。

        FD打开文件的type如下表所示。

        当以读的模式打开一个文件时(type 被指定为r, rb, t+, r+b, rb+)文件权限不允许打开或者文件不存在时,0被返回给MCD或FD,$ferror系统函数可以用来返回最近一次错误信息。

        上面的type中的b用于区分二进制文件和文本文件,很多系统(比如UNIX)不区分二进制文件和文本文件,在这些系统上b被忽略,但有些系统(Windows NT)在读写二进制时会进行一些转换。

        $fclose用于关闭MCD或者FD,不允许向任何被$fclose关闭的文件描述符进一步输出或输入,同时$fmonitor和/或$fstrobe对文件描述符或多通道描述符的监控操作将被隐式取消,通过$fclose操作,$fopen函数可以重用已关闭的通道。

        

 

更多推荐

Verilog基础:$fopen和$fclose系统函数、任务的使用

本文发布于:2023-12-04 23:46:51,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1662476.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:函数   基础   系统   Verilog   fclose

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!