Tcl语言:常用的SDC约束命令

编程入门 行业动态 更新时间:2024-10-10 09:16:23

Tcl语言:常用的SDC约束<a href=https://www.elefans.com/category/jswz/34/1771323.html style=命令"/>

Tcl语言:常用的SDC约束命令

        Tcl(Tool Command Language)是一种用于编写脚本的编程语言,广泛用于电子设计自动化(EDA)工具中,如Cadence的Virtuoso、Synopsys的Design Compiler等。在EDA工具中,SDC(Synopsys Design Constraints)是一种用于定义电子设计约束的文件格式,它用于确保电子设计在时序、时钟分频、时序杂散等方面满足规定的要求。下面分类别给出一些常用的SDC约束命令。

时序约束

create_clock创建时钟
create_generated_clock创建生成时钟
set_clock_groups设置时钟组
set_clock_latency设置时钟延迟
set_clock_transition设置时钟过渡时间
set_clock_uncertainty设置时钟的不确定性
set_clock_sense设置时钟的触发边沿
set_propagated_clock设置传播时钟
set_input_delay设置输入端口延迟
set_output_delay设置输出端口延迟
set_clock_gating_check设置门控时钟检查
set_ideal_latency设置理想延迟
set_ideal_network设置理想网络
set_ideal_transition设置理想过渡时间
set_max_time_borrow设置最大借用时间

set_resistance

设置在线网上的阻值
set_timing_derate设置时序松弛因子
set_data_check设置数据到数据的检查
group_path设置路径组
set_drive设置端口驱动
set_load设置端口负载
set_input_transition设置输入过渡时间
set_fanout_load设置扇出负载

面积与功率约束

set_max_area设置最大面积
create_voltage_area创建电压域
set_level_shifter_threshold设置电平转换器阈值
set_max_dynamic_power设置最大动态功耗
set_level_shifter_strategy设置电平转换策略
set_max_leakage_power设置最大泄露功耗

设计规则约束

set_max_capacitance设置最大电容
set_min_capacitance设置最小电容
set_max_transition设置最大过渡时间
set_max_fanout设置最大扇出

接口约束 

set_drive设置端口驱动
set_drive_cell设置端口驱动单元
set_input_transition设置输入过渡时间
set_load设置端口负载
set_fanout_load设置扇出负载
set_port_fanout_number设置端口扇出数
set_input_delay设置输入端口延迟
set_output_delay设置输出端口延迟

特定模式和配置约束 

set_case_analysis设置模式分析
set_logic_dc设置端口不关心
set_logic_zero设置端口逻辑0
set_logic_one设置端口逻辑1

设计约束异常

set_false_path设置虚假路径
set_multi_cycle_path设置多周期路径
set_disable_timing设置打断时间弧
set_max_delay设置最大延迟
set_min_delay设置最小延迟

设计查询

get_cells返回设计或库元器件的实例
get_ports返回设计的输入、输出和输入输出端口
get_pins返回设计端口或库元器件引脚的实例
get_nets返回连接到端口或引脚的线网
get_clocks返回设计中的时钟
all_inputs返回设计中所有输入和输入输出端口
all_outputs返回设计中所有输出和输入输出端口
all_registers返回设计中的所有寄存器
all_clocks返回设计中的所有时钟
get_libs返回库列表
get_lib_cells返回库中的元器件列表
get_lib_pins返回库中元器件中的引脚列表
current_design

为后续的命令和查询设置设计范围

如果没有给出参数,报告当前设计范围

其他命令

set_wire_load_model设置线负载模型
set_wire_load_mode设置线负载模式
set_wire_load_selection_group设置线负载分组
set_wire_load_min_block_size设置线负载最小模块大小
set_units设置单位
set_operating_conditions设置工作环境
sdc_version显示SDC版本

更多推荐

Tcl语言:常用的SDC约束命令

本文发布于:2023-11-17 12:25:17,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1642300.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:命令   常用   语言   Tcl   SDC

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!