【SV/UVM 使用记录】

编程入门 行业动态 更新时间:2024-10-19 03:34:22

【<a href=https://www.elefans.com/category/jswz/34/1757087.html style=SV/UVM 使用记录】"/>

【SV/UVM 使用记录】

SV/UVM 使用记录

这篇博客用来记录一些SV/UVM使用过程中不太常用但很好玩的用法。

void

void通常被用来在function声明时修饰function,表示该function没有返回参数。除了这种用法之外void可以修饰有返回值的实体,这种用法需要在void后加上‘修饰。
For example:

bit [31 : 0] m_test_q[$];
m_test_q.push_back('b1);
void'(m_test_q.pop_front());

上述代码中m_test_q是一个queue,在pop_front时应该有返回值,如果没有编译器则会报错,加上void’()之后相当于手动通知编译器这个值我不想用,可以直接抛弃,这种情况可以忽略这个报错。

更多推荐

【SV/UVM 使用记录】

本文发布于:2023-11-17 08:12:27,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1641927.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:SV   UVM

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!