「Verilog学习笔记」四选一多路器

编程入门 行业动态 更新时间:2024-10-08 10:55:19

「Verilog学习笔记」四选一<a href=https://www.elefans.com/category/jswz/34/1768459.html style=多路器"/>

「Verilog学习笔记」四选一多路器

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

 

分析 

通过波形示意图我们可以发现,当sel为0,1,2时,输出mux_out分别为d3,d2,d1,那么sel=3时,输出一定为d0,因此我们只需要用case语句分别判断输入的四种情况即可。

`timescale 1ns/1ns
module mux4_1(
input [1:0]d1,d2,d3,d0,
input [1:0]sel,
output[1:0]mux_out
);
//*************code***********//reg [1:0] mux_out_tmp ;always@(*) begincase(sel) 2'b00: mux_out_tmp = d3 ; 2'b01: mux_out_tmp = d2 ; 2'b10: mux_out_tmp = d1 ; 2'b11: mux_out_tmp = d0 ; default: mux_out_tmp = d3 ; endcaseend assign mux_out = mux_out_tmp ; //*************code***********//
endmodule

 

更多推荐

「Verilog学习笔记」四选一多路器

本文发布于:2023-11-16 19:00:26,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1631446.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:多路   学习笔记   Verilog   四选一

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!