SystemVerilog的数据类型

编程入门 行业动态 更新时间:2024-10-28 02:36:22

SystemVerilog的<a href=https://www.elefans.com/category/jswz/34/1768302.html style=数据类型"/>

SystemVerilog的数据类型

logic类型

logic是reg类型的改进,可以被过程赋值、连续赋值,门单元和模块所驱动。
编译器可自动推断logic是reg还是wire。
唯一的限制是logic只允许一个输入,不能被多重驱动,所以inout类型端口不能定义为logic。所以单驱动时用logic,多驱动时用wire。

单驱动时logic可完全替代reg和wire,除了Evan提到的赋初值问题。
多驱动时,如inout类型端口,使用wire。

定宽数组

更多推荐

SystemVerilog的数据类型

本文发布于:2023-11-16 07:50:47,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1614576.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:数据类型   SystemVerilog

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!