晶振分频【FPGA】

编程入门 行业动态 更新时间:2024-10-24 11:15:50

晶振<a href=https://www.elefans.com/category/jswz/34/1767735.html style=分频【FPGA】"/>

晶振分频【FPGA】

所有数据对齐晶振。

6分频:【1】

module divider_six // 6分频 【0~2】
( input wire sys_clk , //系统时钟 50MHz input wire sys_rst_n , //全局复位 output reg clk_out //对系统时钟 6 分频后的信号 
);reg [1:0] cnt; //用于计数的寄存器 //cnt:计数器从 0 到 2 循环计数 
always@(posedge sys_clk or negedge sys_rst_n) 
if(sys_rst_n == 1'b0) cnt <= 2'b0; 
else if(cnt == 2'd2)  // 计数 到 2  cnt <= 2'b0; 
else cnt <= cnt + 1'b1; //clk_out:6 分频 50%占空比输出 
always@(posedge sys_clk or negedge sys_rst_n) 
if(sys_rst_n == 1'b0) clk_out <= 1'b0; 
else if(cnt == 2'd2) clk_out <= ~clk_out; 

6分频:【2】

module divider_six 
( input wire sys_clk , //系统时钟 50MHz input wire sys_rst_n , //全局复位 output reg clk_flag //指示系统时钟 6 分频后的脉冲标志信号 
); reg [2:0] cnt; //用于计数的寄存器 
//cnt:计数器从 0 到 5 循环计数 
always@(posedge sys_clk or negedge sys_rst_n) 
if(sys_rst_n == 1'b0) cnt <= 3'b0; 
else if(cnt == 3'd5) // 【5】清零cnt <= 3'b0; 
else cnt <= cnt + 1'b1; //clk_flag:脉冲信号指示 6 分频
always@(posedge sys_clk or negedge sys_rst_n) 
if(sys_rst_n == 1'b0) clk_flag <= 1'b0; 
else if(cnt == 3'd4)  // 【等于4】下周期_拉高clk_flag <= 1'b1; 
else clk_flag <= 1'b0; 
endmodule 

5分频:

从第一个晶振开始:【3+2】

        2.5晶振高电平,2.5晶振低电平

clk1是 3+2

clk2是2+3

需要 clk2下降沿【拉低】clk1上升沿【拉高】 

逻辑上看,可以是clk1和clk2都是高电平时,输出高电平

clk_out = clk1 & clk2;

推荐5分频:

原因是:所有数据对齐晶振。

更多推荐

晶振分频【FPGA】

本文发布于:2023-11-15 11:49:59,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1599107.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:分频   晶振   FPGA

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!