「Verilog学习笔记」求两个数的差值

编程入门 行业动态 更新时间:2024-10-27 17:24:45

「Verilog学习笔记」求两个数的<a href=https://www.elefans.com/category/jswz/34/1702726.html style=差值"/>

「Verilog学习笔记」求两个数的差值

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

 

`timescale 1ns/1ns
module data_minus(input clk,input rst_n,input [7:0]a,input [7:0]b,output  reg [8:0]c
);always @ (posedge clk or negedge rst_n) begin if (~rst_n) c <= 9'b0 ; else begin if (a > b) c <= a - b ; else if (b > a ) c <= b - a ; end end 
endmodule

 

更多推荐

「Verilog学习笔记」求两个数的差值

本文发布于:2023-11-15 09:15:55,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1597290.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:差值   学习笔记   个数   Verilog

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!