VHDL中奇怪的XNOR行为

编程入门 行业动态 更新时间:2024-10-27 08:37:28
本文介绍了VHDL中奇怪的XNOR行为的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧! 问题描述

引起问题的代码看起来像是普通的xnor操作,如下所示:

The code that is causing problems looks like a normal xnor operation as you can see below:

S(1) <= L(16) xnor L(26);

此行导致以下错误:

ncvhdl_p: *E,EXPSMI (HDL/aes_sbox_enc_depth16.vhd,169|14): expecting a semicolon (';') [9.5.1]. ncvhdl_p: *F,MAXERR: maximum error count reached (1). TOOL: ncvhdl 10.20-s075: Exiting on Feb 14, 2012 at 12:56:05 GMT (total: 00:00:01)

任何人都知道这里出了什么问题,分号显然在那里. VHDL是否有可能 不支持xnor,如果支持,我该如何重写?

Anyone an idea what is going wrong here, the semicolon is clearly there. Is it possible that VHDL does not support xnor, if so, how do I have to rewrite it?

非常感谢!

推荐答案

我相信xnor是为位和布尔值定义的,但不是为std_logic定义的.我认为这实际上取决于您使用的是哪个版本的VHDL(例如98/2002/2008).我见过的std_logic_1164.vhd文件的某些版本中肯定对此做了注释.

I believe that xnor is defined for bits and booleans, but not std_logic. I think it actually depends on which version of VHDL (e.g. 98 / 2002 / 2008) you're using. It's certainly commented out of some versions of the std_logic_1164.vhd files I've seen.

仅反转xor怎么样?

S(1) <= not (L(16) xor L(26));

更多推荐

VHDL中奇怪的XNOR行为

本文发布于:2023-10-14 11:36:13,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1490959.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:奇怪   VHDL   XNOR

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!