VHDL二选一选择器代码及其仿真代码

编程入门 行业动态 更新时间:2024-10-25 12:24:26

VHDL二选一选择器<a href=https://www.elefans.com/category/jswz/34/1771412.html style=代码及其仿真代码"/>

VHDL二选一选择器代码及其仿真代码

二选一选择器
代码
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity test2 is
Port ( a,b,sel:in BIT;y:out bit);
end test2;

architecture Behavioral of test2 is
signal m ,n:bit;
begin
process(a,b,sel)

m<=a and sel;
n<=b and (not sel);
y<=m or n;

end Behavioral;

仿真代码
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity test_bench is
– Port ( );
end test_bench;

architecture Behavioral of test_bench is
component test2 port(
a,b,sel:in BIT;
y:out bit);
end component;
signal a:bit:=‘0’;
signal b:bit:=‘0’;
signal sel:bit:=‘0’;
signal y:bit:=‘0’;
constant clk_period :time :=20 ns;
begin
dut:test2 port map(
a=>a,b=>b,sel=>sel,y=>y
);
process
begin
sel<=‘1’;
wait for 10ns;
sel<=‘0’;
wait for 10ns;
end process;
a<=‘1’;
b<=‘0’;
end Behavioral;

更多推荐

VHDL二选一选择器代码及其仿真代码

本文发布于:2023-07-28 22:03:17,感谢您对本站的认可!
本文链接:https://www.elefans.com/category/jswz/34/1334580.html
版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。
本文标签:代码   选择器   VHDL   二选一

发布评论

评论列表 (有 0 条评论)
草根站长

>www.elefans.com

编程频道|电子爱好者 - 技术资讯及电子产品介绍!